Ir al contenido

Documat


Aportaciones a la tolerancia a fallos en microprocesadores bajo efectos de la radiación

  • Autores: José Isaza González
  • Directores de la Tesis: Antonio Martínez Álvarez (dir. tes.) Árbol académico, Sergio Cuenca Asensi (dir. tes.) Árbol académico
  • Lectura: En la Universitat d'Alacant / Universidad de Alicante ( España ) en 2018
  • Idioma: español
  • Tribunal Calificador de la Tesis: Ignacio Bravo Muñoz (presid.) Árbol académico, Jorge Azorín López (secret.) Árbol académico, Juan Suardíaz Muro (voc.) Árbol académico
  • Enlaces
    • Tesis en acceso abierto en: RUA
  • Resumen
    • El funcionamiento correcto de un sistema electrónico, aún bajo perturbaciones y fallos causados por la radiación, ha sido siempre un factor crucial en aplicaciones aeroespaciales, médicas, nucleares, de defensa, y de transporte. La tolerancia de estos sistemas, o de los componentes que los integran, a fallos de tipo Single Event Effects (SEEs), es un tema de investigación importante y una caracterı́stica imprescindible de cualquier sistema utilizado, no solo en aplicaciones crı́ticas, sino también en las aplicaciones del dı́a a dı́a. Por esta razón, las aplicaciones de estos sistemas requieren, cada vez más, herramientas, métricas y parámetros especı́ficos que permitan evaluar la tolerancia a fallos; y a su vez, permitan guiar el proceso para aplicar de forma eficiente los mecanismos de protección utilizados para la mitigación de estos fallos.

      En este contexto, esta tesis doctoral presenta una herramienta de inyección de fallos y la metodologı́a para la realización de campañas de inyección de fallos tipo Single Event upset (SEU) en procesadores Commercial Off-The-Shelf (COTS) y a través de plataformas de emulación/simulación. Esta herramienta aprovecha las ventajas que ofrecen las infraestructuras de depuración de hardware tales como On-Chip Debugging (OCD), y el depurador estándar de GNU (GDB) para la ejecución y depuración de los casos de estudio. También, se analiza la posibilidad de utilizar un modelo descrito en HDL (Hardware Description Language) del procesador MSP430 de Texas Instruments para estimar la fiabilidad de las aplicaciones al principio de la fase de desarrollo. Se utilizan diferentes métodos de inyección de fallos que muestran las ventajas que ofrece la emulación FPGA en comparación con las campañas de inyección llevadas a cabo en los dispositivos reales. La vulnerabilidad del banco de registros se compara y analiza por cada uno de sus registros.

      Por otro lado, esta memoria de tesis presenta una métrica para la aplicación eficiente del endurecimiento selectivo basada en software, que hemos llamado SHARC (Software based HARdening Criticality). Adicionalmente, también presenta un método para guiar el proceso de endurecimiento según la clasificación generada por la métrica SHARC. De esta forma, se logra proteger los recursos internos del procesador, obteniendo una cobertura máxima de fallos con los mı́nimos sobrecostes de protección (overheads). Esto permite diseñar sistemas confiables a bajo coste, logrando obtener un punto óptimo entre los requisitos de confiabilidad y las restricciones de diseño, evitando el uso excesivo de costosos mecanismos de protección (hardware y software).


Fundación Dialnet

Mi Documat

Opciones de tesis

Opciones de compartir

Opciones de entorno